<div dir="ltr">We have random failures in fc26 and fc27.<div><br></div><div>The test should be marked as xfail until we fix it.</div><div><br></div><div><a href="http://jenkins.ovirt.org/job/vdsm_master_check-patch-fc26-x86_64/2166/consoleFull">http://jenkins.ovirt.org/job/vdsm_master_check-patch-fc26-x86_64/2166/consoleFull</a></div><div><br><pre class="inbox-inbox-console-output" style="box-sizing:border-box;white-space:pre-wrap;word-wrap:break-word;margin-top:0px;margin-bottom:0px;color:rgb(51,51,51);font-size:16px"><span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.194</b> </span>======================================================================
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.195</b> </span>FAIL: testWait((&#39;sleep&#39;, &#39;1&#39;), (&#39;sleep&#39;, &#39;1&#39;), True) (virttests.v2v_test.PipelineProcTests)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.195</b> </span>----------------------------------------------------------------------
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.195</b> </span>Traceback (most recent call last):
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.195</b> </span>  File &quot;/home/jenkins/workspace/vdsm_master_check-patch-fc26-x86_64/vdsm/tests/testlib.py&quot;, line 143, in wrapper
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.196</b> </span>    return f(self, *args)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.196</b> </span>  File &quot;/home/jenkins/workspace/vdsm_master_check-patch-fc26-x86_64/vdsm/tests/virttests/v2v_test.py&quot;, line 605, in testWait
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.196</b> </span>    self.assertEqual(ret, waitRet)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.197</b> </span>AssertionError: False != True
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.197</b> </span>-------------------- &gt;&gt; begin captured logging &lt;&lt; --------------------
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.197</b> </span>2017-11-23 11:48:07,229 DEBUG (MainThread) [root] /usr/bin/taskset --cpu-list 0-1 sleep 1 (cwd None) (v2v:1484)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.197</b> </span>2017-11-23 11:48:07,238 DEBUG (MainThread) [root] /usr/bin/taskset --cpu-list 0-1 sleep 1 (cwd None) (v2v:1484)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.198</b> </span>2017-11-23 11:48:09,248 DEBUG (MainThread) [root] Killing pid=22581 (v2v:749)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.198</b> </span>2017-11-23 11:48:09,248 DEBUG (MainThread) [root] Killing pid=22582 (v2v:749)
<span class="inbox-inbox-timestamp" style="box-sizing:border-box"><b style="box-sizing:border-box">00:06:57.198</b> </span>--------------------- &gt;&gt; end captured logging &lt;&lt; ---------------------
</pre><br class="inbox-inbox-Apple-interchange-newline"><div><br></div><div><br></div></div></div>